• 客服專區
  • 登入
  • 註冊
焦點產業

events近期活動

      keyword關鍵議題

      expert熱門專家

        POP REPORT熱門文章

        i卡會員

        歡迎免費加入,享有多項免費權益!

        >

        PRESENTATIONS主題推薦

        POPULAR熱門專區

        先進IC封裝發展趨勢與散熱的挑戰
        The Development Trends of Advanced IC Packaging and Challenges for Heat Dissipation
        • 2020/12/01
        • 5227
        • 136

        由於數位經濟和巨量連結的時代興起,各種電子產品的封裝形式及效能不斷提昇,IC封裝技術朝向SiP(System in Package)的異質整合技術發展,元件發熱密度越來越高,過熱問題已造成目前電子產品技術發展的瓶頸。本文將從封裝趨勢及應用發展兩方面來說明散熱問題的影響及挑戰。介紹封裝發展最新趨勢及散熱的方案,並介紹CPU及記憶體兩項重要電子元件的封裝、散熱設計技術及散熱材料技術。關鍵字:系統級晶片(System on a chip,SoC),系統級構裝(System in a package, SiP),異質整合(Heterogeneous integration),熱阻網路(thermal resistance network),晶片接面溫度(junction temperature)

        【內容大綱】

        • 一、 IC元件及封裝發展趨勢
        • 二、 IC元件發熱問題及封裝結構
        • 三、 IC封裝散熱對策
        • 四、 CPU封裝及系統散熱設計
        • IEKView

         

        【圖表大綱】

        • 圖一、電子產品發展及應用
        • 圖二、摩爾定理趨勢
        • 圖三、IC封裝發展趨勢
        • 圖四、異質整合封裝技術
        • 圖五、單晶片扇出型封裝及扇出型晶片於基板(Fan Out Chip on Substrate (FoCoS), FoCoS)技術
        • 圖六、CPU發熱功率及發熱密度趨勢
        • 圖七、PC CPU封裝結構
        • 圖八、高階伺服器CPU封裝結構
        • 圖九、可攜式裝置CPU封裝
        • 圖十、WLCSP的封裝結構與其熱傳路徑
        • 圖十一、WLCSP的封裝結構與其熱傳路徑
        • 圖十二、WLCSP與TSOP及FBGA的封裝熱阻比較
        • 圖十三、晶片堆疊結構在自然對流時的熱傳路徑及熱阻網路
        • 圖十四、3D堆疊構裝在自然對流狀態下,發熱瓦數1 W/package之溫度場分佈,(a) 單顆晶片Tj=72℃ (b) 堆疊兩顆晶片Tj=111℃ (c) 堆疊三顆晶片Tj=153℃
        • 圖十五、多晶片並列結構在自然對流時的熱傳路徑及熱阻網路
        • 圖十六、不同並列晶片排列下的溫度影響
        • 圖十七、晶片散熱途徑熱阻網路示意圖
        • 圖十八、系統散熱元件及散熱性能

         

        推薦閱讀